Variable c:std_logic这句话是什么意思

来源:学生作业帮助网 编辑:作业帮 时间:2024/06/13 10:06:59

Variable c:std_logic这句话是什么意思

变量C: 标准_逻辑.